专利摘要:
インプリント・リソグラフィ・テンプレートまたはインプリント用スタックが、少なくとも約0.4nmの平均細孔サイズをもつ多数の細孔を画定する多孔質材料を含む。多孔質材料の多孔率は少なくとも約10%である。多孔質テンプレート、多孔質インプリント用スタック、または両方をインプリント・リソグラフィ工程で使用して、テンプレートとインプリント用スタックとの間にトラップされたガスをテンプレート、インプリント用スタック、または両方に拡散しやすくし、その結果、インプリント用スタックとテンプレートとの間の重合可能材料がインプリント用スタックとテンプレートとの間に実質的に連続的な層を迅速に形成する。
公开号:JP2011505270A
申请号:JP2010534971
申请日:2008-11-21
公开日:2011-02-24
发明作者:クスナットディノヴ,ニヤズ;シュ,フランク・ワイ;スリニーヴァッサン,シトルガタ・ヴイ;セリンディス,コスタ;チェララ,アンシュマン;チョイ,ビュン−ジン;フレッチャー,エドワード・ビイ;リウ,ウェイジュン
申请人:モレキュラー・インプリンツ・インコーポレーテッド;
IPC主号:B29C59-02
专利说明:

[0001] ナノインプリント・リソグラフィに関する。]
[0002] (関連出願の相互引用)
本出願は、2007年11月21日に出願された米国仮特許出願第60/989,681号および2007年12月3日に出願された米国仮特許出願第60/991,954号の米国特許法第119条(e)項(1)の下での利益を主張するものであり、これらは共に参照により本明細書に組み込まれる。
(連邦政府による資金提供を受けた研究開発の記載)
米国政府は、NISTATPAWARD 70NANB4H3012の条件によって規定されるように、本発明における払込み済みライセンス、および妥当な条件で他者にライセンスすることを特許所有者に要求する権利を限定的な状況で有する。]
背景技術

[0003] ナノ加工は、100ナノメートル程度またはそれ以下のフィーチャを有する非常に小さい構造体の製作を含む。ナノ加工がかなり大きい影響を及ぼしてきた一応用分野には集積回路の処理がある。半導体処理産業は、基板に形成される単位面積当たりの回路を増加させつつ製造歩留りを高めるために努力し続けており、したがって、ナノ加工はますます重要になっている。ナノ加工は、形成される構造体の最小フィーチャ寸法を継続して低減させながら、より優れた工程制御を実現する。ナノ加工が利用されている他の開発領域には、生物工学、光学技術、機械システムなどが含まれる。]
[0004] 米国特許出願公開第2004/0065976号
米国特許出願公開第2004/0065252号
米国特許第6,936,194号
米国特許第6,873,087号
米国特許第7,157,036号
米国特許出願公開第2005/0187339号
米国特許第6,932,934号
米国特許出願公開第2004/0124566号
米国特許出願公開第2004/0188381号
米国特許出願公開第2004/0211754号
米国特許第7,307,118号]
先行技術

[0005] D. W. Breck、Zeolite Molecular Sieves - Structure, Chemistry, and Use、John Wiley & Sons、New York、1974年、636頁
J. F. Shackelford、J. Non-Cryst. Solids253, 1999, 23
G. G. Boiko その他、Glass Physics and Chemistry、Vol. 29, No. 1, 2003, pages 42-48
Zhang その他、「Highly Porous Polyhedral Silsesquioxane Polymers、Synthesis and Characterization」 J. Am. Chem. Soc.、1998、120、8380-8391
F. Peng その他、J. Membrane Sci. 222 (2003) 225-234
A. Ranjit Prakash その他、Sensors and Actuators B 113(2006) 398-409]
[0006] 一態様では、インプリント・リソグラフィ・テンプレートまたはインプリント用スタックは、少なくとも約0.4nmの平均細孔サイズをもつ多数の細孔を画定する多孔質材料を含む。多孔質材料の多孔率は少なくとも約10%である。]
[0007] 実施形態によっては、平均細孔サイズは、少なくとも約0.5nmまたは少なくとも約1.0nmである。多孔質材料の多孔率は少なくとも約20%とすることができる。場合によっては、多孔質材料は有機ケイ酸塩低誘電率材料である。溶融石英を基準とした多孔質材料の相対多孔率は少なくとも約20%とすることができる。場合によっては、多孔質材料は、少なくとも約2GPa、少なくとも約5GPa、または少なくとも約10GPaのヤング率を有する。]
[0008] いくつかのテンプレート実施形態では、多孔質材料はベース層とキャップ層との間に位置決めされる。ベース層は溶融石英を含むことができる。場合によっては、ベース層は凹部を含み、多孔質材料が凹部に位置決めされる。場合によっては、キャップ層はSiOxを含み、ここで1≦x≦2である。キャップ層の厚さは、約100nm未満、約50nm未満、または約20nm未満とすることができる。突起がキャップ層から延びることができる。]
[0009] いくつかのインプリント用スタック実施形態では、多孔質材料は基板とキャップ層との間に位置決めされる。基板はシリコンを含むことができる。]
[0010] 別の態様では、インプリント・リソグラフィ・テンプレートを形成するステップは、ベース層上に多孔質層を形成するステップと、多孔質層上にキャップ層を形成するステップとを含む。別の態様では、インプリント・リソグラフィ・テンプレートを形成するステップは、ベースに多数の凹部を形成するステップと、凹部に多孔質材料を堆積させるステップと、ベース層上にキャップ層を形成するステップとを含む。別の態様では、インプリント・リソグラフィ・テンプレートを形成するステップは、基板上に多孔質層を形成するステップと、多孔質層上にキャップ層を形成するステップとを含む。多孔質層は少なくとも約0.4nmの平均細孔サイズをもつ多数の細孔を画定し、多孔質層の多孔率は少なくとも約10%である。]
[0011] 別の態様では、インプリント・リソグラフィ法は、重合可能材料の小滴をインプリント用スタックに塗布するステップと、重合可能材料をテンプレートに接触させるステップと、重合可能材料を固化させるステップと、テンプレートを固化された材料から分離するステップとを含む。場合によっては、テンプレートは多孔質材料を含む。場合によっては、インプリント用スタックは多孔質材料を含む。場合によっては、テンプレートおよびインプリント用スタックは共に多孔質材料を含む。多孔質材料は少なくとも約0.4nmの平均細孔サイズをもつ多数の細孔を画定し、多孔質材料の多孔率は少なくとも約10%である。]
[0012] 別の態様では、インプリント・リソグラフィ法は、重合可能材料の小滴をインプリント用スタックの表面に供給するステップと、重合可能材料をテンプレートに接触させるステップと、インプリント用スタックの表面に実質的に連続的な層を形成するように重合可能材料が広がることを可能にするステップとを含む。テンプレート、インプリント用スタック、または両方は、少なくとも約0.4nmの平均細孔サイズをもつ多数の細孔を画定する多孔質材料を含むことができ、多孔質材料の多孔率は少なくとも約10%である。実質的に連続的な層を形成するように重合可能材料が広がることは、第2のテンプレートと第2のインプリント用スタックとの間に実質的に連続的な層を形成するように同様の重合可能材料を同様に分配するのに必要とされる時間の約80%以下、約50%以下、または約20%以下で行われ、ここで、第2のテンプレートおよび第2のインプリント用スタックは約0.4nm未満の平均細孔サイズを有する。]
[0013] 本発明をより詳細に理解できるようにするために、添付の図面に示された実施形態を参照しながら本発明の実施形態を説明する。しかし、添付の図面は本発明の単なる典型的実施形態を示しており、したがって、範囲を限定するものと見なされるべきでないことに留意されたい。]
図面の簡単な説明

[0014] リソグラフィ・システムの略示側面図である。
基板の上に位置決めされたパターン化層を有する、図1に示された基板の略示側面図である。] 図1
[0015] 多孔質テンプレートを示す図である。]
[0016] 多孔質インプリント用スタックを示す図である。]
[0017] 多数の凹部に多孔質材料をもつ層の一部を示す図である。]
[0018] 多孔質テンプレートおよび多孔質インプリント用スタックを使用するインプリント・リソグラフィ工程を示す図である。
単一体多孔質テンプレートおよび多孔質インプリント用スタックを使用するインプリント・リソグラフィ工程を示す図である。]
[0019] 基板上に供給されたインプリント・レジストの小滴の写真である。]
[0020] インプリント用スタック上に広がるインプリント・レジストの小滴の写真である。]
[0021] 多孔質インプリント用スタック上に広がるインプリント・レジストの小滴の写真である。]
[0022] 現在使用されている例示的なナノ加工技法は、一般に、インプリント・リソグラフィと呼ばれる。例示的なインプリント・リソグラフィ工程は、米国特許出願公開第2004/0065976号、米国特許出願公開第2004/0065252号、および米国特許第6,936,194号などの多数の公報に詳細に説明されており、それらのすべてが参照により本明細書に組み込まれる。]
[0023] 前述の米国特許出願公開および米国特許の各々で開示されたインプリント・リソグラフィ技法は、形成可能な(重合可能な)層にレリーフ・パターンを形成するステップと、レリーフ・パターンに対応するパターンを下にある基板に転写するステップとを含む。基板を、パターニング工程での位置決めを容易にするために運動ステージに結合することができる。パターニング工程では、基板から離間しているテンプレートと、テンプレートと基板との間に塗布された形成可能な液体とが使用される。形成可能な液体は固化されて、形成可能な液体に接触するテンプレートの表面の形状と一致するパターンを有する硬い層を形成する。固化の後、テンプレートは硬い層から分離され、その結果、テンプレートおよび基板は離間される。次に、基板および固化された層は追加の工程にかけられ、固化された層のパターンに対応するレリーフ像が基板に転写される。]
[0024] 図1を参照すると、その中に、基板12上にレリーフ・パターンを形成するために使用されるリソグラフィ・システム10が示される。インプリント・リソグラフィ・スタックは、基板12と、基板に付着された1つまたは複数の層(例えば接着層)とを含むことができる。基板12を基板チャック14に結合することができる。図示のように、基板チャック14は真空チャックである。しかし、基板チャック14は、限定はしないが、真空式、ピン・タイプ、溝タイプ、電磁気式など、またはそれらの任意の組合せを含む任意のチャックとすることができる。例示的なチャックが米国特許第6,873,087号に説明されており、それは参照により本明細書に組み込まれる。] 図1
[0025] 基板12および基板チャック14はステージ16によってさらに支持することができる。ステージ16はx軸、y軸、およびz軸のまわりに運動することができる。ステージ16、基板12、および基板チャック14は、さらに、基部(図示せず)上に位置決めすることができる。]
[0026] テンプレート18は基板12から離間される。テンプレート18は、そこから基板12の方に延びるメサ20を含むことができ、メサ20はその上にパターニング表面22を有する。さらに、メサ20はモールド20と呼ばれることがある。テンプレート18および/またはモールド20は、限定はしないが、溶融石英、石英、シリコン、有機ポリマー、シロキサンポリマー、ホウケイ酸ガラス、過フッ化炭化水素ポリマー、金属、硬化されたサファイアなど、またはそれらの任意の組合せを含むそのような材料から形成することができる。図示のように、パターニング表面22は、複数の離間した凹部24および/または突起26によって画定されたフィーチャを含むが、本発明の実施形態はそのような構成に限定されない。パターニング表面22は、基板12上に形成されるべきパターンの基礎を形成する任意の原型パターンを画定することができる。]
[0027] テンプレート18をチャック28に結合することができる。チャック28は、限定はしないが、真空式、ピン・タイプ、溝タイプ、電磁気式、および/または他の同様のチャックタイプとして構成することができる。例示的なチャックが米国特許第6,873,087号にさらに説明されており、それは参照により本明細書に組み込まれる。さらに、チャック28をインプリント・ヘッド30に結合し、その結果、チャック28および/またはインプリント・ヘッド30を、テンプレート18の移動を容易にするように構成することができる。]
[0028] システム10は流体供給システム32をさらに含むことができる。流体供給システム32を使用して基板12上に重合可能材料34を堆積させることができる。重合可能材料34は、小滴供給、スピン・コーティング、浸漬コーティング、化学気相成長(CVD)、物理気相成長(PVD)、薄膜堆積、厚膜堆積など、またはそれらの任意の組合せのような技法を使用して基板12上に位置決めすることができる。重合可能材料34(例えばインプリント・レジスト)は、設計要件に応じて所望の容積がモールド20と基板12との間に定められる前および/または定められた後に基板12上に配置することができる。重合可能材料34は、両方とも参照により本明細書に組み込まれる米国特許第7,157,036号および米国特許出願公開第2005/0187339号に記載されているような成分を含むことができる。]
[0029] 図1および2を参照すると、システム10は、経路42に沿ってエネルギー40を誘導するように結合されたエネルギー源38をさらに含むことができる。インプリント・ヘッド30およびステージ16は、テンプレート18および基板12を経路42に重ね合わせて位置決めするように構成することができる。システム10は、ステージ16、インプリント・ヘッド30、流体供給システム32、供給源38、またはそれらの任意の組合せと連通するプロセッサ54によって調整することができ、メモリ56に記憶されたコンピュータ読み取り可能プログラム上で動作することができる。] 図1
[0030] インプリント・ヘッド30、ステージ16の一方または両方は、モールド20と基板12との間の距離を変更してそれらの間に所望の容積を画定することができ、所望の容積は重合可能材料34によって実質的に充填される。例えば、インプリント・ヘッド30は、モールド20が重合可能材料34に接触するようにテンプレート18に力を加えることができる。所望の容積が重合可能材料34で実質的に充填された後、供給源38はエネルギー40、例えば広帯域紫外線放射を生成し、それが重合可能材料34に固化および/または架橋を生じさせ、基板12の表面44とパターニング表面22との形状を一致させ、基板12上にパターン化層46が画定される。パターン化層46は、残留層48と、突起50および凹所52として示される複数のフィーチャとを含むことができ、突起50は厚さt1を有し、残留層48は厚さt2を有する。]
[0031] 上述のシステムおよび工程は、各々が参照により本明細書に組み込まれる米国特許第6,932,934号、米国特許出願公開第2004/0124566号、米国特許出願公開第2004/0188381号、および米国特許出願公開第2004/0211754号に言及されているインプリント・リソグラフィ工程およびシステムでさらに実施することができる。]
[0032] 重合可能材料が小滴供給法またはスピン・コーティング法によって基板に塗布されるナノインプリント工程において、テンプレートが重合可能材料に接触した後、ガスはテンプレートの凹部の内部にトラップされることがある。重合可能材料が小滴供給法によって基板に塗布されるナノインプリント工程において、ガスは、基板上またはインプリント用スタック上に供給された重合可能材料またはインプリント・レジストの小滴の間にトラップされることもある。すなわち、ガスは、小滴が広がるとき小滴の間の隙間領域にトラップされることがある。]
[0033] ガス脱出速度および溶解速度は、重合可能材料が基板(またはインプリント用スタック)上に連続的な層を形成することができる速度、またはテンプレートが重合可能材料に接触した後、重合可能材料がテンプレート・フィーチャを充填することができる速度を制限し、それによってナノインプリント工程のスループットを制限することがある。例えば、基板またはテンプレートは、基板とテンプレートとの間にトラップされたガスにとって実質的に不透過性であり得る。場合によっては、基板またはテンプレートに付着したポリマー層はガスで飽和した状態になることがあり、その結果、インプリント用スタックとテンプレートとの間のガスは飽和したポリマー層に実質的に入ることができず、基板と基板またはインプリント用スタックとの間にトラップされたままになる。基板またはインプリント用スタックとテンプレートとの間にトラップされたままのガスは、パターン化層に充填欠損を引き起こすことがある。]
[0034] インプリント・リソグラフィ工程において、基板/インプリント用スタックとテンプレートとの間にトラップされたガスは、重合可能材料、基板/インプリント用スタック、テンプレート、またはそれらの任意の組合せを通って逃げることができる。任意の媒体を通って逃げるガスの量は、トラップされたガスと媒体との間の接触面積によって影響されることがある。トラップされたガスと重合可能材料との間の接触面積は、トラップされたガスと基板/インプリント用スタックとの間の接触面積よりも少なく、およびトラップされたガスとテンプレートとの間の接触面積よりも少ないことがある。例えば、基板/インプリント用スタック上の重合可能材料の厚さは、約1μm未満、または約100nm未満となることがある。場合によっては、重合可能材料は、インプリンティングの前に十分なガスを吸収してガスで飽和した状態になることがあり、その結果、トラップされたガスが重合可能材料に実質的に入ることができない。対照的に、トラップされたガスと基板またはインプリント用スタックとの間の接触面積、あるいはトラップされたガスとテンプレートとの間の接触面積は比較的大きくすることができる。]
[0035] 場合によっては、基板/インプリント用スタックまたはテンプレートは、それぞれ、基板/インプリント用スタックまたはテンプレートにガスが拡散しやすくなるように選択された平均細孔サイズおよび細孔密度または相対多孔率をもつ多数の細孔を画定する多孔質材料を含むことができる。場合によっては、基板/インプリント用スタックまたはテンプレートは、基板/インプリント用スタックとテンプレートとの間にトラップされたガスが、基板/インプリント用スタックと基板との間の重合可能材料から遠ざかり、それぞれ基板/インプリント用スタックまたはテンプレートに向かう方向に移送しやすくなるように設計された多孔質材料の1つまたは複数の層または領域を含むことができる。]
[0036] 媒体のガス透過率は、P=D×Sで表すことができ、Pは透過率であり、Dは拡散係数であり、Sは溶解度である。ガス移送過程において、ガスは媒体の表面上に吸着し、濃度勾配が媒体内に確立される。濃度勾配は、ガスが媒体を通って拡散する駆動力として働くことができる。気体溶解度および拡散係数は、例えば媒体の充填密度に基づいて変化することがある。媒体の充填密度を調整すると、拡散係数を、したがって媒体の透過率を変更することができる。]
[0037] ガスは関連する分子径(kinetic diameter)を有すると考えることができる。分子径は、ガス移送特性にガス原子または分子のサイズの概念を与える。参照により本明細書に組み込まれる、D.W.Breck、Zeolite Molecular Sieves − Structure,Chemistry,and Use、John Wiley & Sons、New York、1974年、636頁には、ヘリウム(0.256nm)、アルゴン(0.341nm)、酸素(0.346nm)、窒素(0.364nm)、および他の通常のガスの分子径が列記されている。]
[0038] インプリント・リソグラフィ工程によっては、ヘリウム・パージを使用して、テンプレートと基板またはインプリント用スタックとの間の空気をヘリウム・ガスと実質的に交換する。インプリント・リソグラフィ工程におけるヘリウム環境と空気環境との間の比較を単純化するために、空気中の酸素とシリカとの間の極性相互作用は、空気を純アルゴンとしてモデル化することにより無視することができる。ヘリウムおよびアルゴンは共に不活性ガスであり、アルゴンは酸素と同様の分子径を有する。しかし、酸素と異なり、ヘリウムおよびアルゴンは溶融石英または石英(例えばテンプレートまたは基板の)と化学的に相互作用しない。]
[0039] 内部空胴(溶解性部位(solubility site))と、溶解性部位を接続する構造チャネルとにより、ガスは媒体を通って透過することができる。ガスは溶解性部位に保持され得る。ガスのサイズ(または分子径)を基準とした内部空胴のサイズおよびチャネル直径は、ガスが媒体を透過する速度に影響を及ぼす。]
[0040] 溶融石英の個々の隙間溶解性部位のサイズは、参照により本明細書に組み込まれるJ.Non−Cryst.Solids253、1999、23においてJ.F.Shackelfordによって対数正規分布に従うことが示された。隙間直径の分布(モード=0.181nm、平均=0.196nm)、ならびにヘリウムおよびアルゴンの分子径によって示されるように、ヘリウムに有効な溶融石英溶解性部位の数はアルゴンに有効な溶解性部位の数を超える。隙間部位の総数はm3当たり2.2×1028であると推定され、m3当たり2.3×1027ヘリウム溶解性部位およびm3当たり1.1×1026アルゴン溶解性部位である。ヘリウムの溶解性部位間の平均距離は0.94nmであると見なされるが、アルゴンの溶解性部位間の平均距離は2.6nmであると見なされる。これらの溶解性部位を接続する構造チャネルは、約0.3nmの直径をもつ、6員Si−O環の螺旋構成と同様であると考えられる。表1は、溶融石英においてヘリウムおよびアルゴン透過率に影響を与えるいくつかのパラメータを要約している。]
[0041] ]
[0042] Boiko(参照により本明細書に組み込まれるG.G.Boikoら、Glass Physics and Chemistry、29巻、1号、2003年、42〜48頁)は、アモルファスまたはガラス状シリカ中のヘリウムの挙動を説明している。溶解性部位内では、ヘリウム原子は間隙容積によって許容される振幅で振動する。原子は、隙間から隙間まで、隙間よりも直径が小さい可能性があるチャネルを通過する。
表1に列記されたパラメータの示すところによれば、溶融石英中のアルゴン透過率は室温で非常に低いかまたは無視することができる(すなわち、アルゴンの分子径は溶融石英のチャネル・サイズを超えている)。酸素および窒素の分子径はアルゴンの分子径よりも大きいので、空気は溶融石英を実質的に透過することができない可能性がある。一方、ヘリウムは溶融石英中に拡散し、透過することができる。したがって、ヘリウム環境がナノインプリント工程のために周囲空気の代わりに使用される場合、テンプレートと基板またはインプリント用スタックとの間にトラップされたヘリウムは溶融石英テンプレートを透過できる可能性がある。]
[0043] 図3は、基板12と多孔質テンプレート300との間の重合可能材料34の側面図、およびナノインプリント・リソグラフィで使用される様々な多孔質テンプレートの実施形態の拡大断面図である。矢印は、テンプレート300へのガス移送の方向を示す。] 図3
[0044] テンプレート300Aは、ベース層304とキャップ層306との間に多孔質層302を含む。多孔質層302は、ベース層304上に、化学気相成長(CVD)法、スピン・コーティング法、熱成長法などによって形成することができる。多孔質層302の厚さは少なくとも約10nmとすることができる。例えば、多孔質層302の厚さは、約10nmから約100μmの範囲、または約100nmから約10μmの範囲とすることができる。場合によっては、より厚い多孔質層302は、例えばUV透過性、熱膨張などに関連する性能を著しく低減させることなく、より高い有効透過率を提供することができる。]
[0045] 多孔質層302は、限定はしないが、陽極酸化α−アルミナ、有機シラン、有機シリカ、または有機ケイ酸塩材料、有機ポリマー、無機ポリマー、およびそれらの任意の組合せを含む材料から製作することができる。実施形態によっては、多孔質材料は、電子用途および半導体用途で使用されるスピン・オン・ガラス(SOG)などの低誘電率、多孔質低誘電率、または超低誘電率の誘電体薄膜とすることができる。多孔質材料は、ピラニア酸(Piranha)再生工程を含むナノインプリント・リソグラフィ工程における反復使用に耐えるように選択することができる。多孔質層302のベース層304およびキャップ層306への付着は、例えば、インプリント・リソグラフィ工程中に形成されたパターン化層からテンプレートを分離するのに必要とされる力の少なくとも約3倍とすることができる。実施形態によっては、多孔質材料はUV放射線に対して実質的に透明とすることができる。多孔質材料の引張り係数は、例えば、少なくとも2GPa、少なくとも約5GPa、または少なくとも約10GPaとすることができる。]
[0046] 工程条件および材料を変更することによって、様々な細孔サイズおよび細孔密度(例えば多孔率または相対多孔率)をもつ多孔質層を生成することができる。場合によっては、例えば、イオン衝撃を使用して材料中に細孔を形成することができる。多孔質層302は、溶融石英よりも大きい細孔サイズおよび大きい多孔率をもつ細孔308を有することができる。本明細書で使用される「多孔率」は、固体中のチャネルおよび開放空間によって占有された部分を全容積の百分率として参照する。多孔質層302の多孔率は、約0.1%から約60%、または約5%から約45%に及ぶことができる。場合によっては、多孔質層302の多孔率は少なくとも約10%または少なくとも約20%とすることができる。同様の材料の相対多孔率は、材料の密度の相対差として規定することができる。例えば、溶融石英(密度ρfused silica=2.2g/cm3)を基準としたSOG(密度ρSOG=1.4g/cm3)の相対多孔率は、100%×(ρfused silica−ρSOG)/ρfused silicaとして、すなわち36%と計算することができる。溶融石英は酸素−ケイ素結合を含む他の材料に対する標準材料として使用することができる。実施形態によっては、溶融石英を基準とした酸素−ケイ素結合を含む多孔質材料の相対多孔率は、少なくとも約10%、少なくとも約20%、または少なくとも約30%である。]
[0047] 多孔質材料中の細孔のサイズは十分に制御することができる(例えば、実質的に均一に、または所望の分布で)。場合によっては、細孔サイズまたは平均細孔サイズは、約10nm未満、約3nm未満、または約1nm未満である。場合によっては、細孔サイズまたは平均細孔サイズは、少なくとも約0.4nm、少なくとも約0.5nm、またはそれよりも大きい。すなわち、細孔サイズまたは平均細孔サイズは、十分な数の溶解性部位をガスに与えるために十分大きくすることができ、その結果、ガスは、基板/インプリント用スタックとテンプレート300Aとの間にトラップされると、テンプレートの多孔質層302に拡散することができる。]
[0048] シルセスキオキサンポリマーは典型的な多孔質材料である。参照により本明細書に組み込まれる「Highly Porous Polyhedral Silsesquioxane Polymers.Synthesis and Characterization」、J.Am.Chem.Soc.、1998、120、8380−8391において、Zhangらはシルセスキオキサンポリマーに小さいイントラキューブ細孔およびより大きいインターキューブ細孔について説明している。イントラキューブ細孔は0.3〜0.4nm球によって近似される。インターキューブ細孔は、0.5〜0.6nm径および1.0〜1.2nm長の長方形である。本明細書で説明されるように、シルセスキオキサンポリマーなどの少なくとも約0.4nmの直径をもつ細孔は、溶解性部位の寸法よりも小さい分子径をもつガスを吸収するのに好適なサイズおよび形状を提供すると考えられる。場合によっては、多孔質材料の溶解性部位の構造のため、吸収されたガスは材料から拡散するのではなく、溶解性部位の中に実質的に保持されるようになることがある。]
[0049] 多孔質層302を形成するのに使用される材料にポロジェン(Porogen)を加えて、多孔質層の多孔率および細孔サイズを増大させることができる。ポロジェンは、例えば、ノルボルネン、α−テルピネン、ポリエチレンオキシド、およびポリエチレンオキシド/ポリプロピレンオキシドコポリマーなど、およびそれらの任意の組合せなどの蒸発することができる有機化合物を含む。ポロジェンは、例えば直線形状または星形形状とすることができる。ポロジェンおよび工程条件は、例えば、約2nm未満の平均細孔径をもつ微孔質低誘電率多孔質層を形成するように選択し、それによって一連のガス用に溶解性部位の数を増大させることができる。さらに、ポロジェンの導入および多孔率の増加により、ガス溶解性部位を接続する構造チャネルを拡大することができる。約0.4nm以上の細孔サイズの場合、低誘電率薄膜のヘリウム透過率はガラス状溶融石英のヘリウム透過率を上回ることができる。]
[0050] ベース層304およびキャップ層306は同じ材料または異なる材料で製作することができる。実施形態によっては、ベース層304は溶融石英とすることができ、キャップ層306は蒸着法によって成長された1≦x≦2のSiOxを含むことができる。キャップ層306の厚さおよび構成は、機械的強度および選択された表面性状ならびにインプリント・リソグラフィ工程中に基板/インプリント用スタックとテンプレートとの間にトラップされることがあるガスへの透過性を与えるように選ぶことができる。実施形態によっては、キャップ層306の厚さは、約100nm未満、約50nm未満、または約20nm未満である。一例では、キャップ層306は約10nm厚である。キャップ層306は、インプリント・リソグラフィ工程中望ましい濡れ性能および解放性能を達成するように選択された材料で形成することができる。キャップ層306は、さらに、重合可能材料34が多孔質層中に侵入するのを阻止することができ、一方、ガスがキャップ層を通って多孔質層302に拡散できるようにする。]
[0051] 多層薄膜では、有効透過率は、両方とも参照により本明細書に組み込まれる、J.Membrane Sci.222(2003)225〜234でF.Pengらにより、およびSensors and Actuators B 113(2006)398〜409でA.Ranjit Prakashらにより説明されたアナログの電気回路などの抵抗モデルから計算することができる。気体の透過に対する材料の抵抗は透過抵抗Rpとして定義される。層厚l1およびl2、ならびに対応する透過率P1およびP2をもつ2層複合薄膜では、透過抵抗は、以下のように定義することができ、]
[0052] ここで、□pは薄膜の両面間の圧力差であり、Jは流束であり、Aは面積である。抵抗モデルでは、以下のように予測する。
Rp=R1+R2 (2)
断面面積が両方の材料1および2について同じである場合、式(2)は次のように書き直すことができる。]
[0053] 約10nmの厚さおよび透過率P1をもつSiOxのキャップ層306を有するテンプレート300Aでは、テンプレート透過率は多孔質層302の多孔率および細孔サイズを選択することによって調整することができる。310nmの厚さをもつ多層複合インプリント用スタックの有効透過率への多孔質層302の透過率および厚さの効果が表2に示される。
表2の示唆するところによれば、多孔質層の厚さだけを増加させると、多孔質層の透過率だけを増大させるよりも高い有効透過率をもたらすことができる。すなわち、300nmの多孔質層厚および10nmのキャップ層厚では、多孔質層の透過率が100P1から1000P1まで10倍増加すると、有効透過率が23.8P1から30.1P1に増大する。100nm、200nm、および300nmの多孔質層厚および10nmのキャップ層厚をもつ複合インプリント用スタックでは、有効透過率は、多孔質層厚の200nmの増加に対して、それぞれ1.5P1から2.8P1、さらに30.1P1まで20倍増加する。]
[0054] ]
[0055] 別の実施形態では、突起310がキャップ層306から延びることができる。一例では、テンプレート300Bは、ベース層(例えば石英)上に500nm厚の多孔質層(例えば有機ケイ酸塩低誘電率薄膜)を堆積させ、多孔質層の上に100nm厚のキャップ層(例えばSiOx)を成長させることによって形成することができる。キャップ層はエッチ・バックされて、高さが90nmの突起が形成される。本明細書で使用されるキャップ層306の厚さは突起310の高さを切り離して考慮される。したがって、この例のキャップ層は厚さ10nmであり、高さ90nmの突起がキャップ層から延びていると見なされる。テンプレート表面の少なくとも約50%は、10nm厚のSiOxで覆われ(すなわち、テンプレート表面積の約50%は突起で覆われている)、下に500nm厚の多孔質層がある。ヘリウムは、突起がないキャップ層の部分を通してより迅速に拡散することができ、それにより、多孔質層の厚さ、キャップ層の厚さ、および突起がないテンプレートの表面区域の部分によって少なくとも部分的に決まるヘリウム透過率の全体的な増加が達成される。]
[0056] テンプレートは、ガスの拡散を可能にするように選択された多孔率および平均細孔サイズを有する単一体構造として形成することができる。例えば、有機ポリマー、無機材料(例えば、炭化ケイ素、ドープ・シリカ、VYCOR(登録商標))など、またはそれらの任意の組合せから製作されたテンプレートは、ガラス状溶融石英よりも低い充填密度、したがって高いガス(例えばヘリウム)透過率を有することができる。図3はテンプレート300Cを示す。テンプレート300Cは、本質的に単一の多孔質層302からなる。多孔質層302はベース層に付着されていない。多孔質層は、少なくとも約0.4nmの平均細孔サイズおよび少なくとも約10%の多孔率を有することができる。
テンプレート300Dは、キャップ層306をもつ多孔質層302を含む。キャップ層306は、例えばSiOxとすることができる。テンプレート300Cと同様に、多孔質層はベース層に付着されていない。キャップ層306は、重合可能材料が多孔質材料に侵入するのを阻止することができる。キャップ層306は、テンプレートに望ましい表面性状、機械的性質などを付与することもできる。] 図3
[0057] インプリント用スタックは、基板と、基板に付着された層とを含むことができる。多層インプリント用スタックは、多層複合物を形成するために一緒に付着された1つまたは複数の追加の層を含むことができる。基板は、例えばシリコン・ウェハとすることができる。基板に付着される層は、例えば、有機ポリマー材料、無機ポリマー材料、またはそれらの任意の組合せを含むことができる。基板、層、またはそれらの任意の組合せの細孔サイズおよび多孔率は、インプリント用スタックを通してガスが拡散できるように選択することができ、それにより、トラップされたガスの低減とテンプレートのフィーチャの充填とがインプリント・リソグラフィ工程中に促進される。]
[0058] 図4は、テンプレート18とインプリント用スタック400との間の重合可能材料34を示す。矢印はインプリント用スタックへのガス移送の方向を示す。場合によっては、インプリント用スタック400のガス透過率はインプリント用スタックの厚さを増加させることによって増加させることができる。実施形態によっては、インプリント用スタックの厚さを増加させると、スタックのガス吸収容量が改善され、パージ中のガス飽和の可能性を低減することができる。] 図4
[0059] いくつか実施形態では、インプリント用スタック400の拡大断面図に示されるように、インプリント用スタックは基板12上に形成された多孔質層402を含むことができる。多孔質層402は細孔408を有することができ、例えば有機ケイ酸塩低誘電率薄膜とすることができる。キャップ層406は多孔質層402上に形成することができる。多孔質層402の厚さは、使用目的に応じて約50nmから数μmの範囲とすることができる。多孔質層中の細孔サイズは十分に制御することができる(例えば、実質的に均一に、または既知の分布で)。]
[0060] 実施形態によっては、多孔質層402の細孔サイズまたは平均細孔サイズは、約10nm未満、約3nm未満、または約1nm未満である。場合によっては、細孔サイズまたは平均細孔サイズは、少なくとも約0.4nm、少なくとも約0.5nm、またはそれよりも大きい。すなわち、細孔サイズまたは平均細孔サイズは、十分な数の溶解性部位をガス(例えばヘリウム)に与えるために十分大きくすることができ、その結果、基板12とテンプレート18との間にトラップされたガスはインプリント用スタック400の多孔質層402に拡散することができる。実施形態によっては、多孔質層402の多孔率は少なくとも10%または少なくとも20%である。]
[0061] 場合によっては、多孔質テンプレートのベース層または多孔質インプリント用スタックの基板からの歪みが多孔質層を通ってキャップ層に移送されることがある。多孔質層はベース層または基板よりも低いヤング率を有することができる。いくつか実施形態では、歪みの移送は基板中に多孔質材料を埋め込むことによって低減することができる。例えば、多数の凹部または溝を基板またはベース層にエッチングすることができ、多孔質材料をその凹部または溝に堆積させることができる。場合によっては、多孔質材料は凹部または溝を実質的に充填することができる。次に、ベース層または基板および多孔質材料を実質的に覆うために、キャップ層をベース層または基板に堆積することができ、その結果、キャップ層はベース層または基板に接しており、歪み移送が低減される。凹部または溝の面積および間隔ならびに多孔質材料の容積は、インプリント・リソグラフィ工程中にガスが多孔質材料に逃れることができるのに十分とすることができる。]
[0062] 図5は、凹部502をもつ層500の分解斜視図を示す。層500は例えば基板とすることができる。凹部502は、例えば、任意の規則的または不規則な、均一または不均一な形状またはサイズの溝またはくぼみを含むことができる。実施形態によっては、凹部502は、均一または不均一な間隔をもつ格子パターンを形成することができる。格子間隔aは、例えば約0.25μmとすることができる。凹部502は、多孔質材料504で実質的に充填することができる。キャップ層506は、層500と、凹部502中の多孔質材料504との上に形成することができ、その結果、歪みを層500からキャップ層506に直接移送することができ、一方、依然としてテンプレート500を通るガスの拡散を増大することができる。キャップ層506は、例えば化学気相成長などを含む方法によって形成することができる。] 図5
[0063] 多孔質テンプレートによるインプリント・リソグラフィ工程では、重合可能材料の小滴がインプリント用スタック/基板とテンプレートとの間に実質的に連続的な層を形成するのに必要とされる時間は、第2のテンプレートが多孔質テンプレートよりも多孔質でないかまたは低い多孔率を有する場合(例えば、第2のテンプレートが溶融石英、石英、または通常のテンプレート材料で製作されている場合)、同じ構成の同じ重合可能材料の小滴が同様のインプリント用スタック/基板と第2のテンプレートとの間に実質的に連続的な層を形成するのに必要とされる時間の約80%以下、約50%以下、または約20%以下とすることができる。多孔質インプリント用スタック/基板によるインプリント・リソグラフィ工程では、重合可能材料の小滴がインプリント用スタック/基板とテンプレートとの間に実質的に連続的な層を形成するのに必要とされる時間は、第2のインプリント用スタック/基板が多孔質インプリント用スタック/基板よりも多孔質でないかまたは低い多孔率を有する場合(例えば、第2のインプリント用スタックが本質的にシリコン・ウェハ上の接着層からなる場合)、同じ構成の同じ重合可能材料の小滴が第2のインプリント用スタック/基板と同様のテンプレートとの間に実質的に連続的な層を形成するのに必要とされる時間の約80%以下、約50%以下、または約20%以下とすることができる。]
[0064] 実施形態によっては、図6に示されるように、多孔質テンプレートおよび多孔質インプリント用スタックを一緒に使用することができる。例えば、多孔質層302をテンプレート300に含めることができ、多孔質層402をインプリント用スタック400に含めることができる。テンプレートおよびインプリント用スタックの両方に多孔質層を導入すると、例えば、キャップ層が十分に薄い場合、多孔質層を通って逃げるガス(例えばヘリウム、窒素、酸素など)の量を増大させることができる。実施形態によっては、図7に示されるように、単一体多孔質テンプレート300と、多孔質層をもつインプリント用スタックとを一緒に使用することができる。] 図6 図7
[0065] 多孔質インプリント用スタックの準備。SOG(スピン・オン・ガラス、ACCUGLASS(登録商標)512B、Honeywell Electronic Materialsから入手可能)が予め洗浄された8インチ両面研磨シリコン・ウェハ基板上に回転塗布された。次に、ウェハは、それぞれ80℃、150℃、および250℃で、各温度で60〜120秒間ベーキングされた。次に、SOG被覆されたウェハは、425℃〜450℃で、窒素環境で、1時間硬化処理された。SOG層は約1.7μm厚であった。得られた疎水性SOG表面は5〜20秒間酸素プラズマで処理され、親水性表面が生成された。]
[0066] 接着層は、SOG表面上に、約77グラムのIsoRad 501(ニューヨーク州、スケネクタディの、ニューヨーク州のSchenectady International,Inc.から入手可能な多機能反応性化合物)、22グラムのCymel 303ULF(ニュージャージー州、ウェスト・パターソンのCytec Industries,Inc.から入手可能なヘキサメトキシメチル−メラミン(HMMM)を含む架橋剤)、および1グラムのCycat 4040(Cytec Industries,Inc.から入手可能な触媒)、ならびに約39.9キログラムのPMアセテート(テネシー州、キングスポート、Eastman Chemical Companyから入手可能な2−(1−メトキシ)プロピルアセテートを含む溶媒)を含む組成物から形成された。組成物はSOG層上に回転塗布され、160℃で60秒間硬化処理され、約7nmの厚さをもつ接着層が形成された。]
[0067] 多孔質テンプレートの準備。SOG(Honeywell Electronic Materialsによるスピン・オン・ガラス、ACCUGLASS(登録商標)512B)が予め洗浄された溶融石英テンプレート上に回転塗布された。次に、テンプレートは、それぞれ80℃、150℃、および250℃で、各温度で60〜120秒間ベーキングされた。次に、SOG被覆されたテンプレートは、425℃〜450℃で、窒素環境で、1時間硬化処理された。SOG層は約650μm厚であった。テンプレートは標準湿式洗浄工程で洗浄された。次に、酸化ケイ素キャップ層がプラズマ化学気相成長(PEVCD)を使用して堆積された。キャップ層は約8nm厚であった。]
[0068] 参照により本明細書に組み込まれる米国特許第7,307,118号のバルク材料A8(例えば約10cPの粘度)と同様のインプリント・レジストを使用して、上述のように製作された多孔質インプリント用スタックの充填速度を試験した。インプリント・レジストは、図8に示されたようなインプリント用スタック上に格子パターンで供給され、インプリント・レジストの小滴800は中心間で340μm離間し、小滴容積は約12pLであった。隙間領域802が小滴800の間に見える。ヘリウム・パージが、インプリント用スタックとテンプレートとの間の空気をヘリウムと実質的に交換するために行われた。固化されたレジストの残留層厚はどの場合にも約90nmであった。] 図8
[0069] 比較実施例:多孔質インプリント用スタックがなく、白地溶融石英テンプレート(blank fused silica template)がある場合のインプリント・レジストの広がり。図9Aは、テンプレートがインプリント・レジストに接触するときのインプリント用スタックと白地溶融石英テンプレートとの間のインプリント・レジストの小滴900の写真である。隙間領域902は小滴900よりも大きい表面面積を覆っている。図9Bは、インプリント・レジストがテンプレートに接触して1秒後に得られた写真である。カメラの視野は、4つの隙間領域902が見えるように調整された。図9Cは、インプリント・レジストがテンプレートに接触して7秒後に得られた写真である。2つの隙間領域902が見える。図9Dは、テンプレートがインプリント・レジストに接触した8秒後に、インプリント・レジストが完全に広がってテンプレートとインプリント用スタックとの間に実質的に連続的な層904を形成していることを示している。] 図9A 図9B 図9C 図9D
[0070] 実施例:多孔質インプリント用スタックがあり、白地溶融石英テンプレートがある場合のインプリント・レジストの広がり。図10Aは、テンプレートがインプリント・レジストに接触するときの多孔質インプリント用スタックと白地溶融石英テンプレートとの間のインプリント・レジストの小滴1000の写真である。多孔質インプリント用スタックは、上述のように、約1.7μmの厚さをもつSOG層で被覆されたシリコン・ウェハと、SOG層の上の8nmの接着層とを含む。図10Bは、インプリント・レジストがテンプレートに接触して0.5秒後に得られた写真である。カメラの視野は、4つの隙間領域1002が見えるように調整された。図10Cは、インプリント・レジストがテンプレートに接触して0.75秒後に得られた写真である。3つの隙間領域1002が見える。図10Dは、テンプレートがインプリント・レジストに接触した1.1秒後に、インプリント・レジストが完全に広がってテンプレートと多孔質インプリント用スタックとの間に実質的に連続的な層1004を形成していることを示している。] 図10A 図10B 図10C 図10D
[0071] このように、白地溶融石英テンプレートとインプリント用スタックとの間に完全な充填を達成し、またはそれらの間に実質的に連続的な層(すなわち、はっきり分かるトラップされたガスまたは隙間領域がない)を形成するようにインプリント・レジストが広がるのに必要とされる時間の比較によれば、多孔質インプリント用スタックの充填時間は従来のインプリント用スタックと比べて劇的に低減することが示された。この場合、完全な充填を達成するための時間は75%を超えて低減されている。したがって、インプリント・レジストが広がって、多孔質材料をもつインプリント用スタック上に実質的に連続的な層を形成するのは、多孔質材料なしのインプリント用スタック上にインプリント・レジストが実質的に連続的な層を形成するのに必要とされる時間の約20%未満で行われる。充填速度の同様の増加は、多孔質材料のないテンプレートと比較して多孔質テンプレートで達成することができる。充填時間のこの低減により、スループットをより速くすることが可能になり、インプリント・リソグラフィ工程の欠陥の可能性が低減される。]
実施例

[0072] 上述の本発明の実施形態は例示的である。本発明の範囲内にとどまりながら、変更および変形を上述で記載された開示に行うことができる。]
[0073] 12基板; 14基板チャック; 16ステージ; 18テンプレート;
20モールド;パターニング表面; 24 凹部; 26突起;
28チャック; 30インプリント・ヘッド; 32流体供給システム;
38エネルギー源; 40エネルギー; 42経路; 44 表面;
54プロセッサ; 56メモリ。]
权利要求:

請求項1
少なくとも約0.4nmの平均細孔サイズをもつ多数の細孔を画定する多孔質材料を含むインプリント・リソグラフィ・テンプレートであって、前記多孔質材料の多孔率が少なくとも約10%であるインプリント・リソグラフィ・テンプレート。
請求項2
前記平均細孔サイズが少なくとも約0.5nmである、請求項1に記載のテンプレート。
請求項3
前記平均細孔サイズが少なくとも約1.0nmである、請求項1に記載のテンプレート。
請求項4
前記多孔質材料の前記多孔率が少なくとも約20%である、請求項1に記載のテンプレート。
請求項5
前記多孔質材料が有機ケイ酸塩低誘電率材料である、請求項1に記載のテンプレート。
請求項6
溶融石英を基準とした前記多孔質材料の相対多孔率が少なくとも約20%である、請求項5に記載のテンプレート。
請求項7
前記多孔質材料が少なくとも約2GPaのヤング率を有する、請求項1に記載のテンプレート。
請求項8
前記多孔質材料が少なくとも約5GPaのヤング率を有する、請求項1に記載のテンプレート。
請求項9
前記多孔質材料が少なくとも約10GPaのヤング率を有する、請求項1に記載のテンプレート。
請求項10
前記多孔質材料がベース層とキャップ層との間に位置決めされる、請求項1に記載のテンプレート。
請求項11
前記ベース層が溶融石英を含む、請求項10に記載のテンプレート。
請求項12
前記ベース層が凹部を含み、前記多孔質材料が前記凹部に位置決めされる、請求項10に記載のテンプレート。
請求項13
前記キャップ層がSiOxを含み、1≦x≦2である、請求項10に記載のテンプレート。
請求項14
前記キャップ層の厚さが約100nm未満である、請求項10に記載のテンプレート。
請求項15
前記キャップ層の厚さが約50nm未満である、請求項14に記載のテンプレート。
請求項16
前記キャップ層の厚さが約20nm未満である、請求項15に記載のテンプレート。
請求項17
前記キャップ層から延びる突起をさらに含む、請求項10に記載のテンプレート。
請求項18
少なくとも約0.4nmの平均細孔サイズをもつ多数の細孔を画定する多孔質材料を含むインプリント・リソグラフィ・インプリント用スタックであって、前記多孔質材料の多孔率が少なくとも約10%であるインプリント・リソグラフィ・インプリント用スタック。
請求項19
前記平均細孔サイズが少なくとも約0.5nmである、請求項18に記載のインプリント用スタック。
請求項20
前記平均細孔サイズが少なくとも約1.0nmである、請求項18に記載のインプリント用スタック。
請求項21
前記多孔質材料の前記多孔率が少なくとも約20%である、請求項18に記載のインプリント用スタック。
請求項22
前記多孔質材料が有機ケイ酸塩低誘電率材料である、請求項18に記載のテンプレート。
請求項23
溶融石英を基準とした前記多孔質材料の相対多孔率が少なくとも約20%である、請求項22に記載のテンプレート。
請求項24
前記多孔質材料が少なくとも約2GPaのヤング率を有する、請求項18に記載のインプリント用スタック。
請求項25
前記多孔質材料が少なくとも約5GPaのヤング率を有する、請求項18に記載のテンプレート。
請求項26
前記多孔質材料が少なくとも約10GPaのヤング率を有する、請求項18に記載のテンプレート。
請求項27
前記多孔質材料が基板とキャップ層との間に位置決めされる、請求項18に記載のテンプレート。
請求項28
インプリント・リソグラフィ・テンプレートを形成する方法において、ベース層上に多孔質層を形成するステップであって、前記多孔質層が少なくとも約0.4nmの平均細孔サイズをもつ多数の細孔を画定し、前記多孔質層の多孔率が少なくとも約10%である、ステップと、前記多孔質層上にキャップ層を形成するステップとを含む方法。
請求項29
インプリント・リソグラフィ・テンプレートを形成する方法において、ベース層に多数の凹部を形成するステップと、前記凹部に多孔質材料を堆積させるステップであって、前記多孔質材料が少なくとも約0.4nmの平均細孔サイズをもつ多数の細孔を画定し、前記多孔質材料の多孔率が少なくとも約10%である、ステップと、前記ベース層上にキャップ層を形成するステップとを含む方法。
請求項30
インプリント・リソグラフィ・スタックを形成する方法において、基板上に多孔質層を形成するステップであって、前記多孔質層が少なくとも約0.4nmの平均細孔サイズをもつ多数の細孔を画定し、前記多孔質材料の多孔率が少なくとも約10%である、ステップと、前記多孔質層上にキャップ層を形成するステップとを含む方法。
請求項31
重合可能材料をインプリント用スタックに塗布するステップと、前記重合可能材料をテンプレートに接触させるステップであって、前記テンプレートが少なくとも約0.4nmの平均細孔サイズをもつ多数の細孔を画定する多孔質材料を含み、前記多孔質材料の多孔率が少なくとも約10%である、ステップと、前記重合可能材料を固化させるステップと、前記テンプレートを前記固化された材料から分離するステップとを含むインプリント・リソグラフィ法。
請求項32
重合可能材料の小滴をインプリント用スタックに塗布するステップであって、前記インプリント用スタックが少なくとも約0.4nmの平均細孔サイズをもつ多数の細孔を画定する多孔質材料を含み、前記多孔質材料の多孔率が少なくとも約10%である、ステップと、前記重合可能材料をテンプレートに接触させるステップと、前記重合可能材料を固化させるステップと、前記テンプレートを前記固化された材料から分離するステップとを含むインプリント・リソグラフィ法。
請求項33
重合可能材料の小滴を多孔質インプリント用スタックの表面に供給するステップであって、前記多孔質インプリント用スタックが少なくとも約0.4nmの平均細孔サイズをもつ多数の細孔を画定する多孔質材料を含み、前記多孔質材料の多孔率が少なくとも約10%である、ステップと、前記重合可能材料をテンプレートに接触させるステップと、前記多孔質インプリント用スタックの表面に実質的に連続的な層を形成するように前記重合可能材料が広がることを可能にするステップであって、前記実質的に連続的な層を形成するように前記重合可能材料が広がることが、第2のテンプレートと第2のインプリント用スタックとの間に実質的に連続的な層を形成するように同様の重合可能材料を同様に分配するのに必要とされる時間の約80%以下で行われ、前記第2のテンプレートおよび前記第2のインプリント用スタックが約0.4nm未満の平均細孔サイズを有する、ステップとを含むインプリント・リソグラフィ法。
請求項34
重合可能材料の小滴をインプリント用スタックの表面に供給するステップと、前記重合可能材料をテンプレートに接触させるステップであって、前記テンプレートが少なくとも約0.4nmの平均細孔サイズをもつ多数の細孔を画定する多孔質材料を含み、前記多孔質材料の多孔率が少なくとも約10%である、ステップと、前記インプリント用スタックの表面に実質的に連続的な層を形成するように前記重合可能材料が広がることを可能にするステップであって、前記実質的に連続的な層を形成するように前記重合可能材料が広がることが、第2のテンプレートと第2のインプリント用スタックとの間に実質的に連続的な層を形成するように同様の重合可能材料を同様に分配するのに必要とされる時間の約80%以下で行われ、前記第2のテンプレートおよび前記第2のインプリント用スタックが約0.4nm未満の平均細孔サイズを有する、ステップとを含むインプリント・リソグラフィ法。
类似技术:
公开号 | 公开日 | 专利标题
US9855730B2|2018-01-02|Methods of making articles using structured tapes
US8507390B2|2013-08-13|Methods and devices for forming nanostructure monolayers and devices including such monolayers
US9711744B2|2017-07-18|Patterned structured transfer tape
CN104170056B|2017-07-21|模具、抗蚀剂积层体及其制造方法以及凹凸结构体
TWI358608B|2012-02-21|Method to reduce adhesion between a conformable re
EP1848032B1|2017-03-01|Materials and methods of forming controlled voids in dielectric layers
US7244386B2|2007-07-17|Method of compensating for a volumetric shrinkage of a material disposed upon a substrate to form a substantially planar structure therefrom
KR101416112B1|2014-07-08|재료를 서로 접착하는 방법 및 조성물
Jeon et al.2004|Three‐dimensional nanofabrication with rubber stamps and conformable photomasks
JP4421582B2|2010-02-24|パターン形成方法
JP2016515954A|2016-06-02|埋め込まれたナノ構造を形成するための積層転写フィルム
US8287957B2|2012-10-16|Methods and compositions for forming aperiodic patterned copolymer films
US8287749B2|2012-10-16|High-molecular thin film, pattern medium and manufacturing method thereof
Xia et al.1995|Microcontact printing of octadecylsiloxane on the surface of silicon dioxide and its application in microfabrication
Innocenzi et al.2008|Patterning techniques for mesostructured films
US8109753B2|2012-02-07|Double-sided nano-imprint lithography system
TWI422702B|2014-01-11|以氧化物層黏附的經控制蒸氣沉積之多層塗層(一)
TWI301999B|2008-10-11|Eliminating printability of sub-resolution defects in imprint lithography
EP2016613B1|2013-04-10|Nanoimprint Lithography System
EP2261280B1|2014-10-08|Process for imprint lithography
KR101108496B1|2012-01-31|모세관 임프린트 기술
US20120164392A1|2012-06-28|Methods and compositions for forming patterns with isolated or discrete features using block copolymer materials
JP4536148B2|2010-09-01|リソグラフィ・インプリント・システム
JP4990479B2|2012-08-01|多層リソグラフィープロセスに関する新規な平坦化方法
CN102171611B|2014-11-12|压印平版印刷术工具的就地清洁
同族专利:
公开号 | 公开日
US9778562B2|2017-10-03|
EP2212742A1|2010-08-04|
JP5727788B2|2015-06-03|
CN101868760B|2013-01-16|
CN101868760A|2010-10-20|
US20090140458A1|2009-06-04|
KR20100087327A|2010-08-04|
KR101610180B1|2016-04-07|
WO2009067241A1|2009-05-28|
SG185929A1|2012-12-28|
EP2212742B1|2014-07-02|
EP2212742A4|2012-06-06|
引用文献:
公开号 | 申请日 | 公开日 | 申请人 | 专利标题
JP2002254464A|2001-02-28|2002-09-11|Ibiden Co Ltd|プレス成形用型及びその製造方法|
JP2003285341A|2002-01-22|2003-10-07|Ist:Kk|ポリイミド管状物の製造方法|
JP2005001239A|2003-06-12|2005-01-06|Japan Fine Ceramics Center|樹脂成形型用材料及び樹脂成形型|
JP2005267726A|2004-03-18|2005-09-29|Nokodai Tlo Kk|通気性を有するフィルムを備えるスタンパーと該スタンパーを備える成形装置|
JP2006007627A|2004-06-28|2006-01-12|Towa Corp|樹脂成形型、樹脂成形型の製造方法、及び樹脂成形方法|
JP2006281654A|2005-04-01|2006-10-19|Komatsu Seiren Co Ltd|成形型およびこれを使用したシームレス成形品の製造方法|
JP2006326860A|2005-05-23|2006-12-07|Asia Genshi Kk|多孔フィルムおよびその製造方法|
JP2007283513A|2006-04-12|2007-11-01|Brother Ind Ltd|微細金型コア部材|JP2010076300A|2008-09-26|2010-04-08|Canon Inc|加工装置|
JP2011199076A|2010-03-19|2011-10-06|Toshiba Corp|パターン形成方法|
JP2012513101A|2008-12-04|2012-06-07|エーエスエムエルネザーランズビー.ブイ.|インプリントリソグラフィ装置及び方法|
JP2013538443A|2010-07-08|2013-10-10|モレキュラー・インプリンツ・インコーポレーテッド|酸化ケイ素層の強化された高密度化方法|
JP2015141986A|2014-01-28|2015-08-03|大日本印刷株式会社|構造体の製造方法|
JP5806121B2|2010-02-03|2015-11-10|旭硝子株式会社|微細凹凸構造を表面に有する物品の製造方法|
US9339970B2|2012-03-19|2016-05-17|Canon Kabushiki Kaisha|Imprint apparatus, and article manufacturing method|
JP2016528741A|2013-08-19|2016-09-15|ボード・オブ・リージエンツ,ザ・ユニバーシテイ・オブ・テキサス・システム|ナノメータスケール精度を有するユーザ定義プロファイルのプログラム可能な薄膜蒸着|US4714810A|1986-07-28|1987-12-22|Arizona Board Of Regents|Means and methods for heating semiconductor ribbons and wafers with microwvaes|
US5028511A|1989-05-30|1991-07-02|E. I. Du Pont De Nemours And Company|Process for preparing a precolored image using photosensitive reproduction element containing a photorelease layer|
US5792550A|1989-10-24|1998-08-11|Flex Products, Inc.|Barrier film having high colorless transparency and method|
US5066231A|1990-02-23|1991-11-19|Minnesota Mining And Manufacturing Company|Dental impression process using polycaprolactone molding composition|
FR2693727B1|1992-07-20|1994-08-19|Ceramiques Tech Soc D|Organo-mineral polycondensate and process for obtaining it.|
JP2989453B2|1993-11-30|1999-12-13|三菱鉛筆株式会社|連続気孔を有する多孔性ゴム印材|
US5928767A|1995-06-07|1999-07-27|Dexter Corporation|Conductive film composite|
EP0784542B1|1995-08-04|2001-11-28|International Business Machines Corporation|Stamp for a lithographic process|
US5895263A|1996-12-19|1999-04-20|International Business Machines Corporation|Process for manufacture of integrated circuit device|
AT275600T|1997-12-09|2004-09-15|Sba Materials Inc|Blockcopolymerverarbeitung für mesostrukturierte anorganische oxidmaterialien|
JP2002505766A|1998-04-15|2002-02-19|エテックシステムズインコーポレイテッド|ホトレジスト現像剤および現像方法|
US7799416B1|1998-07-02|2010-09-21|Massachusetts Institute Of Technology|Periodic porous and relief nanostructured articles|
US6329017B1|1998-12-23|2001-12-11|Battelle Memorial Institute|Mesoporous silica film from a solution containing a surfactant and methods of making same|
US6238798B1|1999-02-22|2001-05-29|3M Innovative Properties Company|Ceramer composition and composite comprising free radically curable fluorochemical component|
US6334960B1|1999-03-11|2002-01-01|Board Of Regents, The University Of Texas System|Step and flash imprint lithography|
US6873087B1|1999-10-29|2005-03-29|Board Of Regents, The University Of Texas System|High precision orientation alignment and gap control stages for imprint lithography processes|
US7160949B2|2000-01-21|2007-01-09|Mitsui Chemicals, Inc.|Olefin block copolymers, processes for producing the same and uses thereof|
US6465365B1|2000-04-07|2002-10-15|Koninklijke Philips Electronics N.V.|Method of improving adhesion of cap oxide to nanoporous silica for integrated circuit fabrication|
US6573131B2|2000-07-13|2003-06-03|The Regents Of The University Of California|Silica zeolite low-k dielectric thin films and methods for their production|
US6271273B1|2000-07-14|2001-08-07|Shipley Company, L.L.C.|Porous materials|
US6435948B1|2000-10-10|2002-08-20|Beaver Creek Concepts Inc|Magnetic finishing apparatus|
AU2001297642A1|2000-10-12|2002-09-04|Board Of Regents, The University Of Texas System|Template for room temperature, low pressure micro- and nano-imprint lithography|
US6500755B2|2000-12-06|2002-12-31|Advanced Micro Devices, Inc.|Resist trim process to define small openings in dielectric layers|
US6660245B1|2001-02-13|2003-12-09|Novellus Systems, Inc.|Methods for detemplating zeolites and silicalites for use in integrated circuit manufacture|
US7141188B2|2001-05-30|2006-11-28|Honeywell International Inc.|Organic compositions|
US6483174B1|2001-08-16|2002-11-19|Jds Uniphase Corporation|Apparatus and method for dicing and testing optical devices, including thin film filters|
US20030054115A1|2001-09-14|2003-03-20|Ralph Albano|Ultraviolet curing process for porous low-K materials|
US20030127002A1|2002-01-04|2003-07-10|Hougham Gareth Geoffrey|Multilayer architechture for microcontact printing stamps|
TWI339680B|2002-02-19|2011-04-01|Kanto Kagaku|Washing liquid composition for semiconductor substrate|
US7060330B2|2002-05-08|2006-06-13|Applied Materials, Inc.|Method for forming ultra low k films using electron beam|
US6824378B2|2002-05-31|2004-11-30|3M Innovative Properties Company|Microreplication tool with gas release features|
US7077992B2|2002-07-11|2006-07-18|Molecular Imprints, Inc.|Step and repeat imprint lithography processes|
US6932934B2|2002-07-11|2005-08-23|Molecular Imprints, Inc.|Formation of discontinuous films during an imprint lithography process|
WO2004053205A2|2002-07-22|2004-06-24|Massachusetts Institute Of Technolgoy|Porous material formation by chemical vapor deposition onto colloidal crystal templates|
US6957608B1|2002-08-02|2005-10-25|Kovio, Inc.|Contact print methods|
US6936194B2|2002-09-05|2005-08-30|Molecular Imprints, Inc.|Functional patterning material for imprint lithography processes|
US8349241B2|2002-10-04|2013-01-08|Molecular Imprints, Inc.|Method to arrange features on a substrate to replicate features having minimal dimensional variability|
US20040065252A1|2002-10-04|2004-04-08|Sreenivasan Sidlgata V.|Method of forming a layer on a substrate to facilitate fabrication of metrology standards|
JP4170735B2|2002-11-13|2008-10-22|信越化学工業株式会社|ゼオライトゾルとその製造方法、多孔質膜形成用組成物、多孔質膜とその製造方法、層間絶縁膜及び半導体装置|
US7018918B2|2002-11-21|2006-03-28|Intel Corporation|Method of forming a selectively converted inter-layer dielectric using a porogen material|
US6790790B1|2002-11-22|2004-09-14|Advanced Micro Devices, Inc.|High modulus filler for low k materials|
JP2004202602A|2002-12-24|2004-07-22|Sony Corp|微小構造体の製造方法、及び型材の製造方法|
US6960327B2|2003-01-30|2005-11-01|The Regents Of The University Of California|Methods for removing organic compounds from nano-composite materials|
US7098149B2|2003-03-04|2006-08-29|Air Products And Chemicals, Inc.|Mechanical enhancement of dense and porous organosilicate materials by UV exposure|
US7179396B2|2003-03-25|2007-02-20|Molecular Imprints, Inc.|Positive tone bi-layer imprint lithography method|
US7396475B2|2003-04-25|2008-07-08|Molecular Imprints, Inc.|Method of forming stepped structures employing imprint lithography|
US7157036B2|2003-06-17|2007-01-02|Molecular Imprints, Inc|Method to reduce adhesion between a conformable region and a pattern of a mold|
TWI240648B|2003-09-30|2005-10-01|Univ Nat Central|Method for making transparent zeolite film and structure of the zeolite film|
US7056840B2|2003-09-30|2006-06-06|International Business Machines Corp.|Direct photo-patterning of nanoporous organosilicates, and method of use|
US7090716B2|2003-10-02|2006-08-15|Molecular Imprints, Inc.|Single phase fluid imprint lithography method|
KR100601090B1|2003-10-14|2006-07-14|주식회사 엘지화학|다공성 템플레이트를 이용하여 제조된 고표면적 전극시스템 및 이를 이용한 전기 소자|
US7122482B2|2003-10-27|2006-10-17|Molecular Imprints, Inc.|Methods for fabricating patterned features utilizing imprint lithography|
WO2005082277A1|2004-02-18|2005-09-09|Stanford University|Drug delivery systems using mesoporous oxide films|
US8076386B2|2004-02-23|2011-12-13|Molecular Imprints, Inc.|Materials for imprint lithography|
KR101179063B1|2004-02-23|2012-09-07|더 보드 오브 리전츠 오브 더 유니버시티 오브 텍사스 시스템|임프린트 리소그래피용 재료|
US7122079B2|2004-02-27|2006-10-17|Molecular Imprints, Inc.|Composition for an etching mask comprising a silicon-containing material|
WO2005095115A1|2004-03-30|2005-10-13|Asahi Kasei Chemicals Corporation|中空円筒状印刷基材|
US20050230882A1|2004-04-19|2005-10-20|Molecular Imprints, Inc.|Method of forming a deep-featured template employed in imprint lithography|
WO2005104756A2|2004-04-27|2005-11-10|The Board Of Trustees Of The University Of Illinois|Composite patterning devices for soft lithography|
US20080055581A1|2004-04-27|2008-03-06|Rogers John A|Devices and methods for pattern generation by ink lithography|
US7629272B2|2004-06-07|2009-12-08|Axcelis Technologies, Inc.|Ultraviolet assisted porogen removal and/or curing processes for forming porous low k dielectrics|
US7422776B2|2004-08-24|2008-09-09|Applied Materials, Inc.|Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition |
JP4893905B2|2004-08-31|2012-03-07|独立行政法人産業技術総合研究所|Zeolite raw material liquid, zeolite crystal preparation method, zeolite raw material liquid preparation method, and zeolite thin film|
CA2579603A1|2004-09-08|2006-03-16|Nil Technology Aps|A flexible nano-imprint stamp|
US20060081557A1|2004-10-18|2006-04-20|Molecular Imprints, Inc.|Low-k dielectric functional imprinting materials|
US7303989B2|2004-11-22|2007-12-04|Intel Corporation|Using zeolites to improve the mechanical strength of low-k interlayer dielectrics|
US7307118B2|2004-11-24|2007-12-11|Molecular Imprints, Inc.|Composition to reduce adhesion between a conformable region and a mold|
US7365375B2|2005-03-25|2008-04-29|Intel Corporation|Organic-framework zeolite interlayer dielectrics|
KR100744068B1|2005-04-29|2007-07-30|주식회사 하이닉스반도체|반도체 소자의 트랜지스터 제조 방법|
US7381659B2|2005-11-22|2008-06-03|International Business Machines Corporation|Method for reducing film stress for SiCOH low-k dielectric materials|
US20070123059A1|2005-11-29|2007-05-31|Haverty Michael G|Methods of internal stress reduction in dielectric films with chemical incorporation and structures formed thereby|
US7947579B2|2006-02-13|2011-05-24|Stc.Unm|Method of making dense, conformal, ultra-thin cap layers for nanoporous low-k ILD by plasma assisted atomic layer deposition|
US20080160129A1|2006-05-11|2008-07-03|Molecular Imprints, Inc.|Template Having a Varying Thickness to Facilitate Expelling a Gas Positioned Between a Substrate and the Template|
US20080000373A1|2006-06-30|2008-01-03|Maria Petrucci-Samija|Printing form precursor and process for preparing a stamp from the precursor|
KR100831046B1|2006-09-13|2008-05-21|삼성전자주식회사|나노 임프린트용 몰드 및 그 제조 방법|
JP5936806B2|2006-11-01|2016-06-22|コーニンクレッカ フィリップス エヌ ヴェKoninklijke Philips N.V.|凹凸層及び凹凸層を作製する刻印方法|
TWI347684B|2006-12-14|2011-08-21|Univ Nat Central||
CN1996141A|2006-12-26|2007-07-11|西安交通大学|一种零留膜的压印模板及压印光刻图形转移方法|
TWI339881B|2007-02-15|2011-04-01|Via Tech Inc|Chip package|
US7847402B2|2007-02-20|2010-12-07|International Business Machines Corporation|BEOL interconnect structures with improved resistance to stress|
US8025932B2|2007-02-21|2011-09-27|Colorado School Of Mines|Self-limiting thin film synthesis achieved by pulsed plasma-enhanced chemical vapor deposition|
US20100108639A1|2007-03-30|2010-05-06|Pioneer Corporation|Imprinting mold and method of producing imprinting mold|
JP4406452B2|2007-09-27|2010-01-27|株式会社日立製作所|ベルト状金型およびそれを用いたナノインプリント装置|
US9778562B2|2007-11-21|2017-10-03|Canon Nanotechnologies, Inc.|Porous template and imprinting stack for nano-imprint lithography|
WO2009142787A2|2008-02-18|2009-11-26|Board Of Regents, The University Of Texas System|Photovoltaic devices based on nanostructured polymer films molded from porous template|
US20100072671A1|2008-09-25|2010-03-25|Molecular Imprints, Inc.|Nano-imprint lithography template fabrication and treatment|
US8470188B2|2008-10-02|2013-06-25|Molecular Imprints, Inc.|Nano-imprint lithography templates|
US20100104852A1|2008-10-23|2010-04-29|Molecular Imprints, Inc.|Fabrication of High-Throughput Nano-Imprint Lithography Templates|
US20100109201A1|2008-10-31|2010-05-06|Molecular Imprints, Inc.|Nano-Imprint Lithography Template with Ordered Pore Structure|
CN101477304B|2008-11-04|2011-08-17|南京大学|在复杂形状表面复制高分辨率纳米结构的压印方法|
EP2221664A1|2009-02-19|2010-08-25|Solvay Solexis S.p.A.|Nanolithography process|
US8147704B2|2009-07-10|2012-04-03|Korea University Research And Business Foundation|Wide area stamp for antireflective surface|
US8616873B2|2010-01-26|2013-12-31|Molecular Imprints, Inc.|Micro-conformal templates for nanoimprint lithography|
WO2011094696A2|2010-01-29|2011-08-04|Molecular Imprints, Inc.|Ultra-compliant nanoimprint lithography template|US20060081557A1|2004-10-18|2006-04-20|Molecular Imprints, Inc.|Low-k dielectric functional imprinting materials|
US20080160129A1|2006-05-11|2008-07-03|Molecular Imprints, Inc.|Template Having a Varying Thickness to Facilitate Expelling a Gas Positioned Between a Substrate and the Template|
US9778562B2|2007-11-21|2017-10-03|Canon Nanotechnologies, Inc.|Porous template and imprinting stack for nano-imprint lithography|
US20100015270A1|2008-07-15|2010-01-21|Molecular Imprints, Inc.|Inner cavity system for nano-imprint lithography|
US20100072671A1|2008-09-25|2010-03-25|Molecular Imprints, Inc.|Nano-imprint lithography template fabrication and treatment|
US8470188B2|2008-10-02|2013-06-25|Molecular Imprints, Inc.|Nano-imprint lithography templates|
US20100104852A1|2008-10-23|2010-04-29|Molecular Imprints, Inc.|Fabrication of High-Throughput Nano-Imprint Lithography Templates|
US8652393B2|2008-10-24|2014-02-18|Molecular Imprints, Inc.|Strain and kinetics control during separation phase of imprint process|
US20100109201A1|2008-10-31|2010-05-06|Molecular Imprints, Inc.|Nano-Imprint Lithography Template with Ordered Pore Structure|
JP2010149482A|2008-12-26|2010-07-08|Toshiba Corp|インプリント用モールドおよびパターン形成方法|
CA2706053A1|2009-05-29|2010-11-29|Vanderbilt University|Direct imprinting of porous substrates|
US20110148008A1|2009-12-23|2011-06-23|National Cheng Kung University|Micro-nano imprint mould and imprinting process|
US8616873B2|2010-01-26|2013-12-31|Molecular Imprints, Inc.|Micro-conformal templates for nanoimprint lithography|
WO2011094696A2|2010-01-29|2011-08-04|Molecular Imprints, Inc.|Ultra-compliant nanoimprint lithography template|
NL2005865A|2010-02-16|2011-08-17|Asml Netherlands Bv|Imprint lithography.|
JP5618588B2|2010-03-24|2014-11-05|キヤノン株式会社|インプリント方法|
JP5491931B2|2010-03-30|2014-05-14|富士フイルム株式会社|ナノインプリント方法およびモールド製造方法|
JP5504054B2|2010-05-27|2014-05-28|株式会社東芝|インプリントマスク、その製造方法、及び半導体装置の製造方法|
JP5699461B2|2010-07-02|2015-04-08|住友電気工業株式会社|ナノインプリント用モールド|
JP5491997B2|2010-07-07|2014-05-14|株式会社東芝|テンプレートの製造方法および半導体装置の製造方法|
WO2012039764A1|2010-09-20|2012-03-29|Vanderbilt University|Nanoscale porous gold film sers template|
EP2726299A4|2011-06-30|2015-03-18|3M Innovative Properties Co|Method for making,inking, and mounting stamps for micro-contact printing|
US9149958B2|2011-11-14|2015-10-06|Massachusetts Institute Of Technology|Stamp for microcontact printing|
US9889504B2|2012-12-11|2018-02-13|Vanderbilt University|Porous nanomaterials having three-dimensional patterning|
US9370907B2|2014-03-20|2016-06-21|Seagate Technology Llc|Apparatuses and methods utilizing etch stop layers|
法律状态:
2011-10-15| A621| Written request for application examination|Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20111014 |
2013-10-02| A977| Report on retrieval|Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20131002 |
2013-10-16| A131| Notification of reasons for refusal|Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20131015 |
2014-01-16| A601| Written request for extension of time|Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20140115 |
2014-01-23| A602| Written permission of extension of time|Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20140122 |
2014-01-24| RD03| Notification of appointment of power of attorney|Free format text: JAPANESE INTERMEDIATE CODE: A7423 Effective date: 20140123 |
2014-01-28| RD04| Notification of resignation of power of attorney|Free format text: JAPANESE INTERMEDIATE CODE: A7424 Effective date: 20140127 |
2014-01-28| A521| Written amendment|Free format text: JAPANESE INTERMEDIATE CODE: A821 Effective date: 20140127 |
2014-02-18| A521| Written amendment|Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20140217 |
2014-05-20| A131| Notification of reasons for refusal|Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20140519 |
2014-08-19| A601| Written request for extension of time|Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20140818 |
2014-08-26| A602| Written permission of extension of time|Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20140825 |
2014-09-20| A601| Written request for extension of time|Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20140919 |
2014-09-30| A602| Written permission of extension of time|Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20140929 |
2014-09-30| A521| Written amendment|Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20140929 |
2015-03-04| TRDD| Decision of grant or rejection written|
2015-03-09| A01| Written decision to grant a patent or to grant a registration (utility model)|Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20150306 |
2015-04-09| A61| First payment of annual fees (during grant procedure)|Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20150403 |
2015-04-10| R150| Certificate of patent or registration of utility model|Ref document number: 5727788 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |
2018-04-03| R250| Receipt of annual fees|Free format text: JAPANESE INTERMEDIATE CODE: R250 |
2019-04-02| R250| Receipt of annual fees|Free format text: JAPANESE INTERMEDIATE CODE: R250 |
2020-03-27| R250| Receipt of annual fees|Free format text: JAPANESE INTERMEDIATE CODE: R250 |
2021-03-25| R250| Receipt of annual fees|Free format text: JAPANESE INTERMEDIATE CODE: R250 |
优先权:
申请号 | 申请日 | 专利标题
[返回顶部]